談DSP HPI匯流排與MPC8272匯流排介面的FPGA實現

才智咖 人氣:1.42W

論文關鍵詞: DSP HPI MPC8272 FPGA VHDL原始碼
  論文摘要:通過對TI公司TMS320C6421 DSP HPI介面訊號和介面匯流排時序的分析,以VHDL語言為工具,使用Altera的FPGA晶片EP3C40F780C8,設計完成MPC8272匯流排和TMS320C6421 DSP HPI匯流排之間的通訊介面,並在實際的產品中得到運用,給出與整個介面設計相關的VHDL原始碼。對於類似的DSP HPI介面設計,此文章具有參考和指導意義。
  一、HPI概述
  HPI(Host-Port Interface)主機介面,是TI高效能DSP上配置的與主機進行通訊的片內外設。通過HPI介面,主機可以非常方便地訪問DSP的所有地址空間,從而實現對DSP的控制。
  TMS320C6421的HPI介面是一個16bit寬的並行埠。主機(host)對CPU地址空間的訪問是通過EDMA控制器實現的。 HPI介面的訪問主要通過三個專用暫存器來實現,它們分別是HPI控制暫存器(HPIC)、HPI地址暫存器(HPIA)和HPI資料暫存器(HPID)。
  二、HPI介面訊號簡介
  (1) HD[15∶0](資料匯流排)
  (2) HCNTL[1∶0](控制HPI訪問型別)
  如前所述,對HPI的訪問需要通過三個暫存器,即HPI地址暫存器(HPIA),HPI資料暫存器(HPID)和HPI控制暫存器(HPIC)來實現。HCNTL[1∶0]就是用於選擇這三個暫存器的專用引腳。
HCNTL1
HCNTL0
HPI訪問型別

談DSP HPI匯流排與MPC8272匯流排介面的FPGA實現

0
0
主機可讀寫HPI控制暫存器HPIC

0
1
主機可讀寫HPI資料暫存器HPID,讀操作或寫操作後HPIA自動增1

1
0
主機可讀寫HPI地址暫存器HPIA

1
1
主機可讀寫HPI資料暫存器HPID,讀操作或寫操作後HPIA不變
 

(3) HHWIL (半字指示選擇)