EDA技術的發展

才智咖 人氣:8.93K

電子設計技術的核心就是EDA技術,EDA是指以計算機為工作平臺,融合應用電子技術、計算機技術、智慧化技術最新成果而研製成的電子CAD通用軟體包,主要能輔助進行三方面的設計工作,即IC設計、電子電路設計和PCB設計。EDA技術已有30年的發展歷程,大致可分為三個階段。70年代為計算機輔助設計(CAD)階段,人們開始用計算機輔助進行IC 版圖編輯、PCB佈局佈線,取代了手工操作。80年代為計算機輔助工程(CAE)階段。與CAD相比,CAE除了有純粹的圖形繪製功能外,又增加了電路功能設計和結構設計,並且通過電氣連線網路表將兩者結合在一起,實現了工程設計。CAE的主要功能是:原理圖輸人,邏輯模擬,電路分析,自動佈局佈線,PCB後分析。90年代為電子系統設計自動化(EDA)階段。

EDA技術的發展

EDA技術的基本特徵

EDA代表了當今電子設計技術的最新發展方向,它的基本特徵是:設計人員按照“自頂向下”的設計方法,對整個系統進行方案設計和功能劃分,系統的關鍵電路用一片或幾片專用積體電路(ASIC)實現,然後採用硬體描述語言(HDL)完成系統行為級設計,最後通過綜合器和介面卡生成最終的目標器件,這樣的設計方法被稱為高層次的電子設計方法。下面介紹與EDA基本特徵有關的幾個概念。

1.“自頂向下”的設計方法。10年前,電子設計的基本思路還是選用標準積體電路“自底向上”地構造出一個新的系統,這樣的設計方法就如同一磚一瓦建造金字塔,不僅效率低、成本高而且容易出錯。

高層次設計是一種“自頂向下”的全新設計方法,這種設計方法首先從系統設計人手,在頂層進行功能方框圖的劃分和結構設計。在方框圖一級進行模擬、糾錯,並用硬體描述語言對高層次的系統行為進行描述,在系統一級進行驗證。然後,用綜合優化工具生成具體閘電路的網路表,其對應的物理實現級可以是印刷電路板或專用積體電路。由於設計的主要模擬和除錯過程是在高層次上完成的,這既有利於早期發現結構設計上的錯誤,避燃計工作的浪費,又減少了邏輯功能模擬的工作量,提高了設計的一次成功率。

2.ASIC設計。現代電子產品的複雜度日益提高,一個電子系統可能由數萬箇中小規模積體電路構成,這就帶來了體積大、功耗大、可靠性差的問題。解決這一問題的有效方法就是採用ASIC晶片進行設計。ASIC按照設計方法的不同可分為全定製ASIC、半定製ASC和可紀程ASIC(也稱為可程式邏輯器件)。

設計全定製ASIC晶片時,設計師要定義晶片上所有電晶體的幾何圖形和工藝規則,最後將設計結果交由m廠家去進行格模製造,做出產品。這種設計方法的優點是晶片可以獲得最優的效能,即面積利用率高、速度快、功耗低,而缺點是開發週期長,費用高,只適合大批量產品開發。

半定製ASIC晶片的版圖設計方法分為門陣列設計法和標準單元設計法,這兩種方法都是約束性的設計方法,其主要目的就是簡化設計,以犧牲晶片效能為代價來縮短開發時間。

可程式邏輯晶片與上述掩模ASIC的不同之處在於:設計 人員完成版圖設計後,在實驗室內就可以燒製出自己的晶片, 無須IC廠家的參與,大大縮短了開發週期。

可程式邏輯器件自70年代以來,經歷了PAL、GALGPLD、FPGA幾個發展階段,其中CPLD/FPGA高密度可程式邏輯器件,目前整合度已高達 200萬門/片,它將格模ASC整合度高的優點和可程式邏輯器件設計生產方便的特點結合在一起,特別適合於樣品研製或小批量產品開發,使產品能以最快的速度上市,而當市場擴大時,它可以很容易地轉由掩模ASIC實現,因此開發風險也大為降低。

上述ASIC晶片,尤其是CPLD/FPGA器件,已成為現代高層次電子設計方法的實現載體。

3.硬體描述語言。硬體描述語言(HDL)是一種用於設計硬體電子系統的計算機語言,它用軟體程式設計的方式來描述電子系統的邏輯功能、電路結構和連線形式,與傳統的門級描述方式相比,它更適合大規模系統的設計。例如一個32位的加法器,利用圖形輸入軟體需要輸人500至1000個門,而利用VHDL語言只需要書寫一行“A=B+C”即可。而且 VHDL語言可讀性強,易於修改和發現錯誤。早期的硬體描述語言,如ABEL、HDL、AHDL,由不同的EDA廠商開發,互不相容,而且不支援多層次設計,層次間翻譯工作要由人工完成。為了克服以上不足,1985年美國國防部正式推出了高速積體電路硬體描述語言VHDL,1987年IEEE採納VHDL 為硬體描述語言標準(IEEE STD-1076)。

VHDL是一種全方位的硬體描述語言,包括系統行為級。暫存器傳輸級和邏輯閘級多個設計層次,支援結構、資料流和行為三種描述形式的混合描述,因此 VHDL幾乎覆蓋了以往各種硬體俄語言的功能,整個自頂向下或由底向上的電路設計過程都可以用VHDL來完成。VHDL還具有以下優點:(1)VHDL的寬範圍描述能力使它成為高層進設計的核心,將設計人員的工作重心提高到了系統功能的實現與除錯,而花較少的精力於物理實現。(2)VHDL可以用簡潔明確的程式碼描述來進行復雜控制邏輯艄設計,靈活且方便,而且也便於設計結果的交流、儲存和重用。(3)VHDL的設計不依賴於特定的器件,方便了工藝的轉換。(4)VHDL是一個標準語言,為眾多的EDA廠商支援,因此移植性好。

4.EDA系統樞架結構 EDA系統框架結構(FRAMEWORK)是一套配置和使用EDA軟體包的規範。目前主要的EDA系統都建立了框架結構,如 CADENCE公司的Design Framework,Mentor公司的Falcon Framework,而且這些框架結構都遵守國際CFI組織制定的統一技術標準。框架結構能將來自不同EDA廠商的工具軟體進行優化組合,整合在一個易於管理的統一的環境之下,而且還支援任務之間、設計師之間以及整個產品開發過程中的資訊傳輸與共享,是並行工程和自頂向下設計施的實現基礎。

EDA技術的每一次進步,都引起了設計層次上的一次飛躍,從設計層次上分,70年代為物理級設計(CAD),80年代為電路級設計(CAE),90年代進入到系統級設計(EDA)。物理級設計主要指IC版圖設計,一般由半導體廠家完成,對電子工程師沒有太大的意義,因此本文重點介紹電路級設計和系統級設計。

1.電路級設計 電路級設計工作流程如圖2所示。電子工程師接受系統設計任務後,首先確定設計方案,並選擇能實現該方案的合適元器件,然後根據具體的元器件設計電路原理圖。接著進行第一次模擬,其中包括數位電路的邏輯模擬、故障分析,類比電路的交直流分析、瞬態分析。在進行系統模擬時,必須要有元件模型庫的支援,計算機上模擬的檢人輸出波形代替了實際電路除錯中的訊號源和示波器。這一次模擬主要是檢驗設計方案在功能方面的正確性。

TAGS:技術 EDA