物理系畢業論文開題報告

才智咖 人氣:3.2W

開題報告是對學生位論文選題工作的論證和稽核,是高校生培養與管理的重要環節,以下是小編收集整理的物理系畢業論文開題報告,歡迎閱讀參考。

物理系畢業論文開題報告

 題 目:電池容量測試的設計

一、 課題的目的意義:

電池容量測試儀是測量電池電量不可缺少的測量儀器,是電池電量的控制系統,是決定電池使用壽命的關鍵裝置,隨著現代電車、手機和相關電子裝置的快速發展,這樣就需要一個穩定測量系統。

二、 文獻綜述(分析國內外研究現狀、提出問題,找到研究課題的切入點,附主要參考文獻,約2000字):

由於大規模和超大規模數字積體電路技術、資料通訊技術與微控制器技術的結合,數字頻率計發展進入了智慧化和微型化的新階段。其功能進一步擴大,除了測量頻率、頻率比、週期、時間、相位、相位差等基本功能外,還具有自撿、自校、自診斷、數理統計、計算方均根值、資料儲存和資料通訊等功能。此外,還能測量電壓、電流、阻抗、功率和波形等。

從國內外研究現狀來看,數字頻率計的主要實現方法有直接式、鎖相式、直接數字式三種。直接式的優點是速度快、相位噪聲低,但結構複雜、雜散多,一般只應用在地面雷達中。鎖相式的優點是相位同步自動控制,製作頻率高,功耗低,容易實現系列化、小型化、模組化和工程化。直接數字式的優點電路穩定、精度高、容易實現系列化、小型化、模組化和工程化。

隨著單片鎖相式數字頻率計的發展,鎖相式和數字式容易實現系列化、小型化、模組化和工程化,效能也越來越好,已逐步成為兩種最為典型,用處最為廣泛的數字頻率計。

數字頻率計可用純硬體實現法(可選的器件有通用的SSI/MSI/LSI積體電路、專用積體電路、可程式邏輯器件等);也可用純軟體實現法(可選的平臺有PC機、微控制器、 DSP器件等);一般考慮用軟硬體相結合的實現法,但是實現的頻率精度可能沒有純硬體實現的精確高,所以考慮用純硬體來實現。

數字頻率計是數位電路中的一個典型應用,隨著CPLD/FPGA(複雜可程式邏輯器件/現場可程式門陣列)的廣泛應用,以EDA工具作為開發手段,運用Verilog HDL語言。將使整個系統大大簡化。提高整體的效能和可靠性。用基於Verilog HDL語言設計數字頻率計:數字頻率計是數位電路中的一個典型應用,隨著CPLD/FPGA(複雜可程式邏輯器件/現場可程式門陣列)的廣泛應用,以EDA工具作為開發手段,運用Verilog HDL語言。將使整個系統大大簡化。提高整體的效能和可靠性。用Verilog HDL語言在CPLD/FPGA器件上實現一種32 b數字頻率計測頻系統,能夠用LCD12864顯示被測訊號的頻率,不僅能夠測量正弦波、方波和三角波等訊號的頻率,而且還能對其他多種物理量進行測量。具有體積小、可靠性高、功耗低的特點。

基於高速序列BCD碼除法的數字頻率計:採用Verilog HDL程式設計設計實現的數字頻率計,除被測訊號的整形部分、鍵輸入部分和LCD12864顯示部分以外,其餘全部在一片FPGA晶片上實現,整個系統非常精簡,而且具有靈活的現場可更改性。在不更改硬體電路的'基礎上,對系統進行各種改進還可以進一步提高系統的效能。該數字頻率計具有高速、精確、可靠、抗干擾性強和現場可程式等優點。

參考文獻

[1] 吳戈log HDL與數字系統設計[M].北京:人民郵電出版社.2009,2.

[2] 李國麗與數字系統設計[M].北京:機械工業出版社.2009,1.

[3] 夏宇聞log HDL數字設計教程[M].北京:北京航空航天大學出版社.2008,6.

[4] 葉淦華嵌入式應用系統開發典型例項[M].西安:中國電力出版社.2005.

[5] 王永良.基於FPGA的同步測週期高精度數字頻率計的設計[J].電子設計應用,2004, (12):74-76.

[6] 蘭吉昌.微控制器C51完全學習手冊[M].北京:化學工業出版社.2008,10.

[7] 唐繼賢.51微控制器工程應用例項[M].北京:北京航空航天大學出版社.2009,1.

[8] 吳海明.基於微控制器與FPGA的等精度頻率計[J].兵工自動化.2009,3.

[9] 李麗娟.基於CPLD/FPGA的等精度頻率計設計[J].工業控制計算機,2008,8.

[10] 劉勉.基於FPGA的頻率計設計與實現[J].資訊科技.2009,12.

[11] Steve nced FPGA Design:Architechture,Implementation,and Optimization [M].北京:機械工業出版社.2009,2.

[12] 潘鬆,黃繼業,陳龍技術與Verilog HDL[M].北京:清華大學出版社.2010,4.

[13] Janice Mazidi .8051 Microcontroller and Embedded Systems[M]tice Hall,1999 66-67.

[14] Clive max Maxfiled Designed Warrior’s Guide to FPGAs[M]es,2004 6.

[15] Ed bullish on growth[J] Daily Telegraph.2007:63-72.

三、課題研究的內容、方法和預期目標:

研究內容:

敘述了課題的研究背景,簡單介紹了當前數字頻率計的實現形式和發展情況以及Quartus II軟體開發環境及51開發軟體keil。然後主要是數字頻率計的理論研究。從原理上理解、分析、研究數字頻率計,並做了模擬。結合課題的要求做數字頻率計設計與研究。

研究方法:利用Quartus II開發環境利用Verilog HDL硬體描述語言對FPGA的各個模組進行設計,利用keil軟體對8051 IP Core進行程式設計,對頻率計進行設計模擬研究。

預期目標:

1.研究數字頻率計的基本理論、實現方法。通過學習數字頻率計的結構、設計理論,掌握各種數字頻率計的原理和特性,為設計實現數字頻率計奠定理論基礎。

2. 研究FPGA及51微控制器的結構以及使用方法。熟悉Quartus II軟體及keil軟體的開發環境,瞭解微控制器的使用技巧。

3. 在Quartus II9.0環境下設計各種數字系統,並研究各種數字系統的設計方法,主要是32位計數器和鎖存器,以及在FPGA中嵌入8051 IP Core的實現及程式設計方法。

4. 通過Quartus II9.0軟體設計,運用所設計的各個模組的數位電路進行系統組裝。編譯後並下載到FPGA晶片中,各個模組進行測試,歸納總結數字系統的設計方法與理論。

5.整機除錯,觀察整機系統工作的協調性級穩定性,以及誤差分析。

四、 所需儀器裝置、材料情況:

計算機,與論文有關的期刊、文獻、實驗箱。

五、課題分階段的進度計劃

1 20XX.9.2-9.30 查閱、蒐集資料,研究蒐集的資料; 資料蒐集齊全

2 20XX.10.1-12.30 撰寫開題報告論文開題; 完成開題報告

3 20XX.3.2-3.28 全面細緻的研讀,精選資料,撰寫論文提綱和文體框架; 完成提綱和框架

4 3.29-4.18 豐富論文內容,完成論文初稿; 完成論文初稿

5 4.19-4.25 畢業論文中期檢查 完成檢查總結

6 4.26-5.9 修改論文,並撰寫讀書筆記; 按期完成

7 5.10-5.23 進一步改進和修改論文,定稿列印; 論文定稿上交

8 5.24-6.6 進一步完善論文的細節,並準備論文答辯; 完成答辯提綱

9 6.7-6.18 提交畢業論文的所有資料,準備進行答辯; 順利答辯